Praca dyplomowa inżynierska

Slides:



Advertisements
Podobne prezentacje
Sterownik swobodnie programowalny ELP10T32-VH
Advertisements

Praca dyplomowa inżynierska
REALIZACJA REGULATORA PID W UKŁADZIE FPGA
Inżynieria Systemów Programowalnych Część I
Metody Sztucznej Inteligencji 2012/2013Zastosowania systemów rozmytych Dr hab. inż. Kazimierz Duzinkiewicz, Katedra Inżynierii Systemów Sterowania 1 Zastosowania.
Przetworniki C / A budowa Marek Portalski.
Opomiarowanie Energii Elektrycznej 2003 r.
Skalowalny algorytm estymacji ruchu dla systemów rozproszonych
UTK Zestaw I.
Najczęściej popełniane błędy w VHDL’u
Wprowadzenie do SystemC
Systemy dynamiczne 2010/2011Systemy i sygnały - klasyfikacje Kazimierz Duzinkiewicz, dr hab. inż.Katedra Inżynierii Systemów Sterowania 1 Dlaczego taki.
Oprogramowanie rejestratora pikosekundowych przebiegów elektrycznych
KOMPONENTY TYPU SLAVE Orkiszewski Marcin
Seminarium Dyplomowe Prezentacja pracy
Praca dyplomowa inżynierska
Zasilacze.
Architektura komputerów
Warszawska Wyższa Szkoła Informatyki Warszawa 2008
Komputeryzacja pomiarów
Miernik parametrów elektrycznych, seria PM800 Moduły We/Wy
Opracowanie platformy sprzętowo-programowej do równoległego zabezpieczenia i sterowania niezależnymi obiektami energetycznymi SN 1.
Temat: Symulacje komputerowe lotu helikoptera w języku Java
Temat: Symulacje komputerowe lotu helikoptera w języku Java
Temat: Symulacje komputerowe lotu helikoptera w języku Java
Krótko o…. Historia Działanie Sterowniki a automatyka Dobór
WYŚWIETLANIE INFORMACJI NUMERYCZNEJ
Instytut Tele- i Radiotechniczny WARSZAWA
Układ sterowania ramieniem teleskopu
Opracował : Przemysław Drzymała
Rafał Szydłowski Kierunek Mechatronika
WYDZIAŁ ELEKTRYCZNY PB
FOTOWOLTAIKA -PRĄD ZE SŁOŃCA energia na dziś, energia na jutro
Regulacja impulsowa z modulacją szerokości impulsu sterującego
Podsystem graficzny i audio
Instytut Tele- i Radiotechniczny WARSZAWA
Sterowanie – metody alokacji biegunów
Podstawy automatyki 2011/2012Systemy sterowania - struktury –jakość sterowania Mieczysław Brdyś, prof. dr hab. inż.; Kazimierz Duzinkiewicz, dr hab. inż.
Architektura PC.
MECHATRONIKA Profile dyplomowania Konstrukcje Mechatroniczne
Systemy wbudowane Wykład nr 3: Komputerowe systemy pomiarowo-sterujące
PRZEKAŹNIKI STEROWANIA GSM
Seminarium dyplomowe magisterskie
BUDOWA I ZASADA DZIAŁANIA
Automatyka i Technika Mikroprocesorowa
Praca naukowa wykonana w ramach realizacji Programu Strategicznego pn. „Innowacyjne systemy wspomagania technicznego zrównoważonego rozwoju gospodarki”
PRACA MAGISTERSKA Wykorzystanie środowiska LABVIEW jako platformy do sterowania procesem wymuszenia w badaniach zmęczeniowych Grzegorz Sus Wydział Mechaniczny.
Przykład 5: obiekt – silnik obcowzbudny prądu stałego
Modelowanie współpracy farm wiatrowych z siecią elektroenergetyczną
Przerzutniki Przerzutniki.
Dokumentacja techniczna
Układ sterowania modelu napędu dźwigowego na bazie programowalnych mikrokontrolerów Autor: Łukasz Gębarowski Opiekun: dr inż. Andrzej Ożadowicz
Podstawy automatyki I Wykład 1b /2016
PWM, obsługa wyświetlacza graficznego
SunFollower Sprint 1 – Zagadnienia teoretyczne. Spis treści Podążanie za słońcem – po co to wszystko ? ………….3 Algorytm – gdzie aktualnie mamy Słońce ………………4.
Fotowoltaika inwestycje w oparciu o 80% datacje. Co to jest fotowoltaika? Fotowoltaika jest technologią umożliwiającą produkcję Energii elektrycznej ze.
Wyższa Szkoła Informatyki i Zarządzania
SunFollower Projekt zespołowy Prowadzący: Dr inż. Marek Woda Wykonał: Bartosz Przybyłek Data prezentacji:
Grupa bloków Układy i systemy scalone Katedra Mikroelektroniki i Technik Informatycznych.
Kompilator eBook w technologii Java Dyplomant: Maciej Bagrowski Promotor: dr inż. Walery Susłow Politechnika Koszalińska Wydział Elektroniki i Informatyki.
Grzegorz Cygan Wprowadzenie do PLC
Sterowane ramię robota
dr inż. Łukasz Więckowski Wydział EAIiIB
TEMAT: Zapoznanie się z funkcja LICZNIKA w sterowniku Twido
Projektowanie systemów cyfrowych z wykorzystaniem języka VHDL
Sterowanie procesami ciągłymi
TEMAT: Zapoznanie się z funkcja LICZNIKA w sterowniku Twido
Obiekty dyskretne w Układach Regulacji Automatycznej
INSTALACJA FOTOWOLTAICZNA - ENERGIA ZE SŁOŃCA
Zapis prezentacji:

Praca dyplomowa inżynierska PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW Dyplomanci : Jan Grabe Jacek Mueller KAO Promotor dr inż. Krystyna Maria Noga

CEL PRACY Celem pracy dyplomowej inżynierskiej było: zaprojektowanie i realizacja urządzenia do śledzenia słońca wykorzys- tywanego do ładowania akumulatorów, opracowanie i uruchomienie programu sterującego obrotnicą panelu fotowoltaicznego, zoptymalizowanie procesu ładowania akumulatorów współpracujących z panelami fotowoltaicznymi. Wykorzystano: zestaw laboratoryjny DE_2 firmy Terasic, środowisko Quartus II firmy Altera oraz język programowania sprzętu VHDL (edytor tekstowy oraz graficzny), żarnik halogenowy jako źródło światła, umocowany do wysięgnika obrotnicy naśladującej ruch słońca w zadanym czasie.

PRZYKŁADOWE ROZWIĄZANIA

PRZYKŁADOWE ROZWIĄZANIA cd.

SCHEMAT BLOKOWY SYSTEMU OBROTNICA „SŁOŃCA” CZUJNIKI KOMPUTER INTERFEJS ALTERA DE2 ADC AKUMULATOR OBROTNICA Z PANELEM FOTOWOLTAICZNYM REGULATOR OBCIĄŻENIE

Dodatkowe elementy składowe moduł przetwornika z ADC 0808 z adapterem, moduł panelu fotowoltaicznego, czujnik położenia słońca, obrotnica panelu , akumulator z regulatorem prądu ładowania i rozładowania oraz obciążenie, płyta bazowa wraz z elementami mocującymi oraz okablowanie, obrotnica symulatora Słońca, zasilacz, sterowanie obrotnicy symulatora Słońca, Interfejs współpracy zestawu laboratoryjnego DE_2 z obrotnicą panelu.

Wykonane prace wybór panelu fotowoltaicznego, dopasowanie akumulatora, regulatora, dobór obrotnic i elementów sterujących obrotnicami, scalenie urządzenia , napisanie oprogramowania sterującego, wykonanie symulacji pracy urządzenia, poprawa oprogramowania (wprowadzenie progu uaktywnienia sterowania, zmniejszenie czułości, wyświetlanie napięć z „przecinkiem”),

Do konwersji sygnałów zastosowano przetwornik ADC firmy SLS Płytka układu ADC 0808 Płytka układu adaptera do ADC 0808

OBROTNICE „SŁOŃCA” I PANELU FOTOWOLTAICZNEGO

UKŁAD ELEKTRONICZNY

Pierwotna konstrukcja urządzenia SYMULATOR SŁOŃCA PANEL FOTOWOLTAICZNY OBROTNICA PANELU FOTOWOLTAICZNEGO AKUMULATOR OBROTNICA SYMULATORA SŁOŃCA REGULATOR PRĄDU I NAPIĘCIA AKUMULATORA STEROWNIK SILNIKÓW ALTERA DE_2

KONSTRUKCJA URZĄDZENIA Wersja ostateczna urządzenia zapewniająca bezkolizyjny ruch panelu i odsunięta obrotnica „słońca” z możliwością symulowania pory roku (ręczna nastawa „zima”, „lato”).

Czujniki wykonane z fotorezystorów z potencjometryczną regulacją równowagi mostka

OPRACOWANIE OPROGRAMOWANIA STERUJĄCEGO Przykładowy kod licznika lpm z biblioteki komponentów środowiska Quartus component LPM_COUNTER         generic (LPM_WIDTH : natural;    -- Musi być większa niż zero                                  LPM_MODULUS : natural := 0;                                  LPM_DIRECTION : string := "UNUSED";--Niewykorzystany                                  LPM_AVALUE : string := "UNUSED"; --Niewykorzystany                                  LPM_SVALUE : string := "UNUSED"; --Niewykorzystany                                  LPM_PORT_UPDOWN : string := "PORT_CONNECTIVITY";                                  LPM_PVALUE : string := "UNUSED"; --Niewykorzystany                                  LPM_TYPE: string := L_COUNTER;                                  LPM_HINT : string := "UNUSED"); --Niewykorzystany           port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0):= (OTHERS => '0');                           CLOCK : in std_logic ; --wejście binarne zegara                           CLK_EN : in std_logic := '1'; --włączenie zegara                           CNT_EN : in std_logic := '1'; --umorzliwienie zliczania                           UPDOWN : in std_logic := '1'; --wybór zliczania góra/dół                           SLOAD : in std_logic := '0'; --załad. synch. wartości do licznika                           SSET : in std_logic := '0'; --ustawienie synch. stanu licznika                           SCLR : in std_logic := '0'; --wyzerowanie synch. licznika                           ALOAD : in std_logic := '0'; --asynch. załąd. wartości do licznika                           ASET : in std_logic := '0'; --asynch. ustawienie wartości licznika                           ACLR : in std_logic := '0'; --asynch. zerowanie licznika               CIN : in std_logic := '1'; --wejście logiczne C                           COUT : out std_logic := '0'; --wyjście logiczne C               Q : out std_logic_vector(LPM_WIDTH-1 downto 0); --wektor wyjściowy(szerokość-1 do zera)               EQ : out std_logic_vector(15 downto 0)); end component;

SCHEMAT BLOKOWY STEROWANIA

PODSUMOWANIE Opracowanie projektu oparto na zestawie laboratoryjnym DE_2 firmy ALTERA oraz na ogólnodostępnych na rynku podzespołach zapewniających wymaganą trwałość i estetyczny wygląd urządzenia do śledzenia słońca. Do realizacji programu sterującego wykorzystano środowisko Quartus i język VHDL. Program sterujący został zaimplementowany w układzie FPGA firmy Altera. Opracowany i wykonany model zostanie wykorzystany jako stanowisko dydaktyczne do ćwiczeń w laboratorium Techniki Cyfrowej. Dobór elementów był podyktowany wielkością urządzenia (panel fotowoltaiczny) i wartościami parametrów (dopasowanie użytych elementów do panelu). Podstawą opracowania był monokrystaliczny panel fotowoltaiczny o mocy 20W używany do małych zestawów autonomicznych, np. (jachty, domki letniskowe, sygnalizacja świetlna).

PODSUMOWANIE cd. Możliwe jest optymalizowanie punktu pracy panelu fotowoltaicznego ukierunkowane na maksymalną moc pozyskiwaną z panelu. Ze względu na szeroki zakres wykonanych prac nie podjęto tej próby. Po zastosowaniu pomiaru prądu panelu fotowoltaicznego i zastosowaniu modułu regulacji tego prądu można wymuszać punkt pracy panelu fotowoltaicznego ukierunkowany na maksymalną moc pozyskiwaną z panelu. Przetwornik ADC posiada niewykorzystane dwa wejścia analogowe, które można wykorzystać do pomiaru prądów.

WNIOSKI KOŃCOWE W trakcie realizacji projektu wykonano pięć wersji opracowywanego układu sterowania W wyniku przeprowadzonych prób i eksperymentów opracowywanego układu sterowania powstała optymalna wersja programu sterowania solar_5 Przeprowadzone próby wykazały poprawność działania układu sterowania zgodnie z założeniami projektowymi Symulator Słońca generuje niewiele energii w panelu, ale umożliwia przeprowadzenie poprawnej symulacji Celowa jest budowa układów nadążnych (pokazano w rozdziale prezentującym inne rozwiązania)

DZIĘKUJEMY ZA UWAGĘ