Pobieranie prezentacji. Proszę czekać

Pobieranie prezentacji. Proszę czekać

Synteza logiczna w projektowaniu…

Podobne prezentacje


Prezentacja na temat: "Synteza logiczna w projektowaniu…"— Zapis prezentacji:

1 Synteza logiczna w projektowaniu…
…układów cyfrowych XP Z D F US UO Jak zaprojektować układ wykonawczy? Automat lub mikroprogramowany układ sterujący 1

2 Synteza strukturalna…
…polegająca na składaniu układu z bloków funkcjonalnych Licznik Rejestr Mux 2

3 Przykład syntezy strukturalnej
Konwerter kodu binarnego na kod BCD: W kodzie BCD (Binary Coded Decimal) każda cyfra liczby zapisanej w kodzie dziesiętnym jest przedstawiana czterobitową liczbą binarną Np. liczba 489 zostanie zapisana jako wektor binarny z wykorzystaniem 12 bitów (3  4 bity) BCD 3

4 Konwerter Bin2BCD Tradycyjną metodę syntezy strukturalnej
1 27BIN 27BCD 1 0  liczby  99 BIN/BCD Tradycyjną metodę syntezy strukturalnej skonfrontujemy z nowoczesnymi metodami syntezy logicznej 4

5 Zasady konfrontacji Realizacja – w strukturze FPGA (Stratix)
EPF10K Jakość realizacji: a) Liczba zajętych komórek LC b) Szybkość – maksymalna liczba słów przetwarzanych w ciągu 1 sek. 5

6 Synteza strukturalna - metoda +3
Szkic metody: 27 = 1 1 1 1 LDA LDB LB LDB  5 NIE TAK  8 LDB := LDB LDB := LDB+3 6

7 Realizacja na blokach funkcjonalnych
K S3 S2 S1 A B 8 4 „3” „5” K  5 LK „8” LOAD1 DEC LK = 0 R4 LOAD2 Y = LD US MUX 1 LB 7

8 Komputerowe projektowanie…
uzyskaną strukturę zapisujemy w języku opisu sprzętu i kompilujemy w systemie Quartus SPECYFIKACJA SIEĆ FUNKCJONALNA LOGICZNA (HDL) SYNTEZA OPTYMALIZACJA FUNKCJONALNA LOGICZNA ODWZOROWANIE OPIS RTL TECHNOLOGICZNE 54 LEs ─ 33 mln/sek TRANSLACJE SPECYFIKACJI 8

9 Konwerter Bin2BCD na poziomie logicznym
.type fr .i 9 .o 8 .p 100 * * * .e AHDL/VHDL Tablica prawdy

10 Komputerowe projektowanie…
SPECYFIKACJA SIEĆ FUNKCJONALNA LOGICZNA (HDL) SYNTEZA OPTYMALIZACJA FUNKCJONALNA LOGICZNA ODWZOROWANIE OPIS RTL TECHNOLOGICZNE 24 LEs ─ 313 mln/sek TRANSLACJE SPECYFIKACJI 10

11 Specjalizowana procedura dekompozycji
TITLE " Decomposed project: bin2bcd "; % Translated from DEMAIN format % % Warsaw University of Technology % % Institute of Telecommunications % SUBDESIGN A ( in_1, in_2, in_3, in_4 :INPUT; in_5, in_6, in_7 :INPUT; out_1, out_2, out_3, out_4 :OUTPUT; out_5, out_6, out_7, out_8 :OUTPUT; ) VARIABLE g1_1, g1_2, g1_3, g3_1 :LCELL; g2_1, g2_ :LCELL; BEGIN ---- Level TABLE (in_1, in_2, in_3, in_4) => (g1_1); B"1000" => B"0"; B"0011" => B"0"; B"0001" => B"1"; B"1011" => B"1"; END TABLE; (in_6, g1_1, g2_1, g2_2) => (out_7); B"0X10" => B"0"; END; # Konwerter bin2bcd .type fr .i 7 .o 8 .p 100 .e Procedura dekompozycji  13 komórek (!!!) 352 mln/sek 11

12 Porównanie realizacji BIN2BCD
Liczba elementów logicznych ─ liczba słów Synteza strukturalna – 54 LEs ─ 33 mln/sek Synteza logiczna: system komercyjny Quartus – 24 LEs ─ 313 mln/sek system specjalizowany – 13 LEs ─ 352 mln/sek Procesor AMD Athlon™ 64X2 Dual Core GHz – 9,17 mln/sek Nie zapominajmy o syntezie logicznej w projektowaniu układów cyfrowych


Pobierz ppt "Synteza logiczna w projektowaniu…"

Podobne prezentacje


Reklamy Google